Desde la Instalación de Quartus II hasta la Programación de EPM240: Un Tutorial Paso a Paso para Principiantes - Tecneu

Desde la Instalación de Quartus II hasta la Programación de EPM240: Un Tutorial Paso a Paso para Principiantes

0 Comentarios

Introducción

Las CPLDs (Dispositivos Lógicos Programables Complejos) son una parte integral del diseño digital, permitiendo a los diseñadores implementar funciones lógicas personalizadas en hardware. Para aquellos que recién entran en este apasionante campo, la tarjeta MAX II EPM240 es una excelente opción para iniciarse. Esta tarjeta compacta y asequible, equipada con un CPLD de Altera, es ideal para fines educativos y proyectos de hobbistas.

Nuestro proyecto en este blog será construir un divisor de frecuencia utilizando la tarjeta MAX II EPM240. Este divisor controlará el parpadeo de un LED, permitiéndonos visualizar la operación de nuestro diseño digital en un CPLD. A pesar de su simplicidad, este proyecto nos dará una valiosa introducción a la programación de CPLD y al lenguaje Verilog. ¡Empecemos!

Características de la tarjeta MAX II EPM240

  • CPLD: La característica principal de la tarjeta es el CPLD MAX II (EPM240T100C5N) de Intel. Este chip tiene 240 celdas lógicas, lo que lo hace adecuado para una gama de proyectos de complejidad moderada.

  • Alimentación: Cuenta con un regulador de voltaje de 3.3V lo que permite alimentar la placa con una fuente de voltaje de 5V a traves del plug o JTAG.

  • Interfaz de Programación: La tarjeta viene con un programador USB Blaster incorporado para programar el CPLD.

  • I/O: La tarjeta ofrece un total de 80 E/S generales.

  • Cristal Oscilador: La tarjeta incluye un oscilador de cristal de 50MHz para proporcionar un reloj de sistema.

  • Compatibilidad: La tarjeta es compatible con el software Quartus II de Intel para el desarrollo y la programación de diseños CPLD.

La tarjeta MAX II EPM240 es una plataforma de desarrollo CPLD versátil y fácil de usar que es ideal para aprender sobre programación CPLD y diseño de sistemas digitales.

Materiales necesarios 

  1. Tarjeta MAX II EPM240
  2. Fuente de 5V con plug
  3. Programador USB Blaster compatible con altera
  4. LED
  5. Resistencia de 220 ohmios
  6. Cables de Conexión

Instalación de Quartus II

Aquí se proporcionan instrucciones paso a paso para instalar Quartus II, el software IDE de Intel para desarrollar proyectos en CPLDs/FPGAs.

  1. Descarga el Software: Dirígete al Centro de descargas de Intel y selecciona la versión "Intel® Quartus® II Web Edition Design Software Version 13.1 for Windows".

  2. Inicia la Instalación: Una vez descargado el archivo .tar descomprimelo y ejecuta el archivo "setup.bat". Esto abrirá el asistente de instalación de Quartus II.

  3. Sigue los Pasos de Instalación: Durante la instalación, te pedirán que aceptes los términos y condiciones. Sigue las instrucciones del asistente para terminar la instalación.

  4. Instalación Completa: Una vez que se complete la instalación, verás un mensaje de confirmación. En este punto, puedes cerrar el instalador.

  5. Verifica la Instalación: Abre Quartus II para comprobar que se instaló correctamente. Deberías ver la interfaz principal del software y estar listo para comenzar a crear y programar diseños CPLD.

Instalación del driver USB Blaster

  1. Conecta el USB Blaster: Conecta el programador USB Blaster. Tu ordenador debería reconocer un nuevo hardware y solicitar la instalación del driver.

  2. Instala el Driver: Ve al Administrador de dispositivos en tu ordenador y encontrarás el USB Blaster listado bajo "Otros dispositivos". Haz clic derecho en él y selecciona "Actualizar controlador". Luego selecciona "Buscar software de controlador en mi equipo" y navega hasta la carpeta donde se encuentra el driver USB Blaster. Puedes encontrar el driver dentro de la carpeta de instalación de Quartus II, usualmente en la ruta "C:\altera\13.1\quartus\drivers".

  3. Finaliza la Instalación: Haz clic en "Siguiente" para instalar el driver. Una vez finalizada la instalación, verás que el USB Blaster se ha movido en el Administrador de dispositivos y ahora se encuentra bajo "Controladoras de bus serie universal".

Creando un Nuevo Proyecto en Quartus II para la tarjeta MAX II EPM240

  1. Crea un Nuevo Proyecto: Ve a "Archivo > Nuevo Proyecto Asistente". Esto abrirá el asistente de nuevo proyecto.

  2. Especifica el Nombre del Proyecto y la Ubicación: En la primera página del asistente, especifica el directorio donde quieres guardar tu proyecto y da un nombre a tu proyecto. Haz clic en "Siguiente" para continuar.

  3. Añadir archivos: En esta pantalla solo da clic en siguiente.
  4. Especifica el Dispositivo CPLD: En la página "Dispositivo y configuración de EDA", debes especificar el dispositivo CPLD correcto. En nuestro caso, selecciona la familia de dispositivos "MAX II" y elige "EPM240T100C5" como dispositivo. Haz clic en "Siguiente".

  5. Configura las Opciones del Proyecto: En la siguiente página del asistente, puedes dejar las opciones predeterminadas como están. Haz clic en "Siguiente" para continuar.
  6. Finaliza la Configuración: En la última página del asistente, revisa tus selecciones y luego haz clic en "Finalizar" para crear tu proyecto.

Una vez creado tu proyecto en Quartus II, necesitamos establecer cómo se manejarán los pines no utilizados en nuestra CPLD.

  • Dirígete a "Assignments > Device" en Quartus II. En la parte inferior de la ventana que aparece, haz clic en "Device & Pin Options". En la nueva ventana, selecciona "Unused Pins" en la lista de categorías a la izquierda. Verás un menú desplegable para "Reserve all unused pins", haz clic en este menú y selecciona "As input tri-stated with weak pull-up". Finalmente, haz clic en "OK" para cerrar las ventanas y aplicar la configuración. Con esto, todos los pines no utilizados en la CPLD se configurarán como entradas con alta impedancia y una resistencia pull-up débil, evitando comportamientos no deseados.

Implementación del Divisor de Frecuencia

      1. Como siguiente paso en nuestro proyecto, vamos a abrir un nuevo archivo de Diagrama de Bloques/Esquemático. Dentro de Quartus II, navega a "Archivo > Nuevo" en el menú superior. En la ventana que se abre, selecciona la opción "Block Diagram/Schematic File".       
      2. En la caja de herramientas del Diagrama de Bloques/Esquemático, selecciona el icono de "Pin" y dibuja un "Input" y un "Output".
      3. El primero actuará como entrada, donde se conectará nuestra señal de reloj. Haz clic derecho en este pin y renómbralo como "RELOJ". El segundo pin actuará como nuestra salida, donde veremos el resultado del divisor de frecuencia. Haz clic derecho en este segundo pin y renómbralo como "SALIDA".
      4. El siguiente paso en nuestro proyecto es crear un nuevo archivo Verilog HDL. Ve a "Archivo > Nuevo" > "Verilog HDL File"                                     
      5. Este archivo contendrá el código Verilog que define el comportamiento de nuestro divisor de frecuencia.
        Añade el siguiente código:
    1. Debe verse asi:
    2. El siguiente paso consiste en crear un archivo de símbolos para tu archivo Verilog HDL actual. Este archivo de símbolos te permitirá utilizar tu diseño Verilog como un bloque en tu Diagrama de Bloques/Esquemático. Para hacer esto, ve a "Archivo > Crear/Actualizar > Crear archivo de Símbolos para el archivo actual".
    3. Agrega tu símbolo: En tu Diagrama de Bloques/Esquemático, selecciona la herramienta "Symbol Tool" en la barra de herramientas.

    4. Aparecerá una nueva ventana en la que podrás seleccionar tu archivo de símbolos. Busca y selecciona el archivo de símbolos que acabas de crear y luego haz clic en "OK".

    5.  Ahora puedes hacer clic en tu Diagrama de Bloques/Esquemático para colocar el símbolo. Conecta tu símbolo a las entradas y salidas que creaste anteriormente. Usando la herramienta "Orthogonal Node Tool" puedes dibujar líneas desde el pin "RELOJ" a la entrada de tu símbolo y desde la salida de tu símbolo al pin "SALIDA".
    6. Guarda tu Diagrama de Bloques/Esquemático, ve a "Archivo > Guardar Como". En la ventana que se abre, asegúrate de que el nombre del archivo sea el mismo que definiste para tu entidad de diseño de nivel superior. Una vez que hayas confirmado que los nombres coinciden, haz clic en "Guardar".
    7. Compila tu proyecto, Quartus II te proporcionará un informe detallado de la compilación, incluyendo cualquier advertencia o error que hayan surgido durante el proceso.
    8. Abre Pin Planner: Navega a "Assignments > Pin Planner".
    9. Para "RELOJ", haz clic en la celda bajo la columna "Location" y escribe "64". Este es el pin donde se encuentra nuestro oscilador. Para "SALIDA", haz clic en la celda correspondiente bajo "Location" y escribe "73". Este será el pin que utilizaremos para ver la salida de nuestro divisor de frecuencia.
    10. Una vez que hayas hecho estas asignaciones, cierra Pin Planner.
    11. Vuelve a Compilar tu proyecto.

 

Programación de la tarjeta MAX II EPM240

Una vez que hemos compilado nuestro diseño y asignado los pines correctamente, el último paso es programar la tarjeta MAX II EPM240 usando Quartus II y el USB Blaster. Aquí te dejo una guía paso a paso:

  1. Conecta la Tarjeta: Conecta la tarjeta MAX II EPM240 a tu computadora usando el USB Blaster, y conecta la fuente de 5V en el jack de la placa.

  2. Abre el Programador: En Quartus II, ve a "Herramientas > Programador". Esto abrirá la ventana del Programador.

  3. Selecciona el Hardware: En la parte superior de la ventana del Programador, asegúrate de que "USB-Blaster" esté seleccionado en el menú desplegable "Hardware Setup".

  4. Comienza la Programación: Asegúrate de que la casilla "Program/Configure" esta marcada y luego haz clic en "Start". Quartus II comenzará a programar la CPLD con tu diseño.

  5. Verifica la Programación: Una vez que la programación esté completa, Quartus II debería indicar "100% (Successful)" en la columna "Progress". Esto significa que tu diseño ha sido programado correctamente en la CPLD.

Finalmente, uno de los pasos más emocionantes de nuestro proyecto es conectar un LED y verlo parpadear en la frecuencia que hemos programado. Aquí te proporciono una guía paso a paso:

  1. Conecta la Resistencia al LED: Conecta un extremo de la resistencia al ánodo (el terminal más largo) del LED.

  2. Conecta el LED a la Tarjeta: Ahora, debemos conectar el otro extremo de la resistencia al pin 73 en la tarjeta MAX II EPM240. Este es el pin que hemos designado como "SALIDA" en nuestro proyecto.

  3. Conecta el Cátodo del LED a Tierra (GND): Conecta el cátodo del LED (el terminal más corto) a cualquier pin de tierra (GND) en la tarjeta.

Ahora, si has seguido los pasos correctamente y todo ha salido bien, deberías ver tu LED parpadeando.

¡Felicidades! Ahora has completado tu primer proyecto con la tarjeta MAX II EPM240 y Quartus II.

Conclusión 

¡Felicidades por completar este tutorial y por embarcarte en el emocionante viaje de aprender sobre las CPLD y la programación de hardware! Ahora has adquirido las habilidades básicas necesarias para crear y programar tu propio diseño utilizando la tarjeta MAX II EPM240.

Pero este es solo el comienzo. Te animamos a experimentar con diferentes configuraciones, probar nuevas ideas y explorar la multitud de posibilidades que los dispositivos lógicos programables como la CPLD MAX II ofrecen.

Productos Relacionados

Ver artículo

Comentarios

Sin comentarios

Dejar un comentario
Tu correo no será publicado, los campos requeridos están marcados con *
Artículos relacionados
Suscríbete
Suscríbete a nuestro boletín y recibe las últimas ofertas y novedades.